围绕着2nm工艺的争夺战已经正式打响

站长云网 2024-05-30 站长云网

虽然3nm方兴未艾,但围绕着2nm工艺的争夺战已经正式打响。在今年2月份,据韩媒Sedaily报道,称三星正在积极推进2nm工艺,并且已讨论为高通和Samsung的LSI部门生产原型产品,当前有一款未命名的Exynos可能正处于早期测试阶段;三月份的时候,Marvell宣布扩大与台积电的合作,以开发业界首个生产针对加速基础设施优化的2nm半导体的技术平台。

本月,苹果首席运营官杰夫·威廉姆斯(JeffWilliams)被曝低调到访台积电,其此行的目的主要是为了确保公司2nm的产能供给。

有见及此,三大晶圆代工厂台积电、三星和Intel正在围绕2nm明争暗斗,日本新兴晶圆厂Rapidus也正在摩拳擦掌,跃跃欲试。

台积电,如期量产

围绕着台积电的2nm,最近有很多传言。

但据台媒工商时报报道,台积电工艺开发副总经理张晓刚在5月23日的论坛上表示,“2纳米工艺开发进展顺利”,“按计划2025年左右可实现量产”,以此驳斥了“台积电因技术问题将2纳米工艺全面量产推迟至2026年”的猜测。

台积电在官方网站中介绍2nm的时候说到,随着不断突破先进逻辑技术的扩展界限,台积电已经远远超越了FinFET,实现了2纳米节点的商业化,这是以纳米片晶体管为特色的一流逻辑技术。台积电业界领先的N2技术具有出色的低Vdd性能,非常适合移动和可穿戴应用。此外,N2的超薄堆叠纳米片为HPC带来了全新级别的节能计算。还将添加背面电源轨以进一步提高性能。

台积电认为,对于希望利用纳米片技术无与伦比的优势来释放前所未有的创新能力的客户来说,台积电N2技术是一个战略选择。

在四月份的北美峰会上,台积电也披露了2nm的更详细的计划。

按照台积电当时所说,公司有望在2025年下半年开始在其第一代GAAFETN2节点上批量生产芯片,而N2P将在2026年底接替N2——尽管没有之前宣布的背面供电功能。同时,整个N2系列将增加台积电的全新NanoFlex功能,该功能允许芯片设计人员混合和匹配来自不同库的单元,以优化性能、功率和面积(PPA)。


相关报道表示,在本次峰会上,台积电的一项重要公告是台积电的NanoFlex技术,该技术将成为该公司完整的N2系列生产节点(2纳米级、N2、N2P、N2X)的一部分。NanoFlex将使芯片设计人员能够在同一块设计中混合和匹配来自不同库(高性能、低功耗、面积高效)的单元,从而使设计人员能够微调其芯片设计以提高性能或降低功耗。

台积电当代的N3制造工艺已经支持类似的功能FinFlex,该功能还允许设计人员使用来自不同库的单元。但由于N2依赖于全栅(GAAFET)纳米片晶体管,因此NanoFlex为台积电提供了一些额外的控制:首先,台积电可以优化沟道宽度以提高性能和功率,然后构建短单元(以提高面积和功率效率)或高单元(以提高15%的性能)。

台积电的强调,公司的N2将于2025年进入风险生产,并于2025年下半年进入大批量生产(HVM),因此看起来我们将在2026年看到N2芯片出现在零售设备中。与N3E相比,台积电预计N2将在相同功率下将性能提高10%至15%,或在相同频率和复杂度下将功耗降低25%至30%。至于芯片密度,该代工厂正在考虑将密度提高15%,以当代标准来看,这是一个很好的扩展程度。


继2nm之后,性能增强型N2P和电压增强型N2X将于2026年问世。尽管台积电曾表示N2P将在2026年增加背面供电网络(BSPDN),但看起来情况并非如此,N2P将使用常规供电电路。原因尚不清楚,但看起来该公司决定不为N2P添加昂贵的功能,而是将其保留到下一代节点,该节点也将于2026年底向客户提供。

不过,2nm仍有望在电源方面实现一项重大创新:超高性能金属-绝缘体-金属(SHPMIM)电容器,这些电容器的加入是为了提高电源稳定性。SHPMIM电容器的容量密度是台积电现有超高密度金属-绝缘体-金属(SHDMIM)电容器的两倍多。此外,与上一代产品相比,新的SHPMIM电容器的薄层电阻(Rs,单位为欧姆/平方)和通孔电阻(Rc)降低了50%。

为了生产2nm,台积电更是规划了几个工厂。

根据今年年年初的报道,台积电正准备在台湾建设两座能够生产N2芯片的制造厂。第一座工厂计划位于新竹县宝山附近,毗邻其专门为开发N2技术及其后续技术而建的R1研发中心。该工厂预计将于2025年下半年开始大批量生产(HVM)2nm芯片。第二座能够生产N2芯片的制造厂将位于高雄科学园区,该园区是高雄附近南台湾科学园区的一部分。该工厂的HVM启动时间预计稍晚一些,可能在2026年左右。

此外,台积电正在努力获得政府批准,在台中科学园区建造另一座具有N2能力的工厂。如果该公司在2025年开始建造该设施,该工厂最早可在2027年投入使用。

当然,拿到了美国政府补贴的台积电也会在那边生产2nm。按照原规划,台积电在美的第二座晶圆厂除了之前宣布的3nm技术外,还将采用下一代纳米片晶体管生产世界上最先进的2nm工艺技术,并将于2028年开始生产。第三座晶圆厂将采用2nm或更先进的工艺生产芯片,并将于2020年底开始生产。

三星,积极应对

作为首先量产3nm的晶圆厂,三星在2nm方面也积极应对。首先,是一条来自台媒Digitimes的传言。

据报道,三星电子将其第二代3nm工艺更名为“2nm工艺”,预计将于2024年下半年开始量产。

尽管早在2023年底就有传闻称三星打算将第二代3nm工艺更名为2nm,但ZDNetKorea援引业内人士的话报道称,三星已开始通知客户和合作伙伴,将在2024年初将“第二代3nm工艺”更名为“2nm工艺”。

业内人士透露,他们已经收到三星关于更名的通知,并因此需要重新签订合同。

分析认为,更名可能有助于三星营销其代工服务。这也不是三星第一次更名其制造工艺。2020年,在从7nm过渡到5nm工艺时,三星将“第二代7nm工艺”更名为“5nm工艺”。

三星于2022年6月在全球率先量产采用全栅极(GAA)工艺的3nm芯片。该公司计划于2024年开始量产其第二代3nm工艺,并于2025年开始量产2nm工艺。更名后,三星可能会整合第二代3nm和2nm工艺。据推测,三星最早可能在2024年下半年开始量产2nm芯片。

美媒Tomshardware则在报道中指出,三星代工厂将于6月举办的VLSI研讨会上详细介绍其采用全栅(GAA)晶体管的第三代工艺技术。该技术称为SF2,将成为该公司最初的2nm级制造工艺。该节点预计将在性能和效率方面提供显着改进。


根据该公司自己的描述,即将到来的节点将通过独特的外延和集成工艺进一步完善三星的多桥通道场效应晶体管架构。这将使其能够将晶体管性能提高11-46%,与未指定的基于FinFET的工艺技术相比,可变性降低26%,同时将泄漏降低约50%。

三星在描述中写道:“通过引入独特的外延和集成工艺,第三代MBCFET(SF2)能够充分发挥产品性能,从而最大程度地提高栅极环绕优势,克服了产品增益与缩放和GAA结构冲突的问题。”三星表示,SF2可将窄晶体管(N型)的性能提高29%,将P型的性能提高46%,将宽晶体管的性能分别提高11%和23%。此外,与FinFET技术相比,它可将晶体管整体变化降低26%,并将产品漏电降低约50%。该工艺还通过与客户加强设计技术共同优化(DTCO)合作,为未来的技术进步奠定了基础。

韩媒BusinessKorea更是报道称,三星不仅在突破技术界限,而且还在加强其2nm级制造工艺的生态系统。该公司正在与50多个知识产权(IP)合作伙伴合作,拥有4,000多个IP。但出于显而易见的原因,其中只有少数是针对GAA节点,尤其是SF2。与此同时,今年早些时候,三星和Arm签署了一项协议,共同优化Cortex-X和Cortex-A内核,以适应三星的全栅极晶体管制造技术。

据称,三星表示,SF2的设计基础设施(PDK、EDA工具和授权IP)将于2024年第二季度完成。一旦完成,三星的芯片开发合作伙伴将能够开始为该生产节点设计产品。

与此相关,三星有望于今年开始使用其第二代3nm级制造工艺(称为SF3)制造芯片。三星的第一代3nm级节点SF3E并不是特别成功,因为该公司主要使用这项技术生产加密货币挖矿芯片。但三星希望其SF3节点能够得到更广泛的应用,包括更复杂的设计,包括数据中心产品。

据报道,三星将于2025年开始大规模生产用于移动应用的2纳米工艺,并在2026年推进2纳米技术应用于超级计算机和计算机市场的高性能计算(HPC)芯片,到2027年,2nm工艺将应用于汽车芯片。

值得一提的是,SF2可能是第一个引入背面供电的三星代工厂节点。据悉,三星代工厂已在两款ARM芯片上测试了BSPDN技术,结果芯片尺寸分别缩小了10%和19%,性能和效率最高提升了9%。该报道还称,测试结果超出了公司的性能目标。

关于三星的2nm晶圆厂,笔者并没有找到太多信息。但有报道表示,该公司计划到2047年在韩国首尔附近的一个“大型集群”半导体项目中总投资500万亿韩元(3710亿美元),该公司希望在这里生产2nm芯片。据介绍,该集群包括13家芯片工厂和3个研究设施,将横跨京畿道的几个城市。

和台积电一样,拿到了美国补贴的三星,也将在大洋彼岸生产2nm芯片。报道披露,三星将在德克萨斯州泰勒市建造一座新工厂,该工厂将从2026年开始生产尖端的2nm芯片。

英特尔,信心满满

在先进工艺上落后了很多年的英特尔在2nm上面则信心满满。

IntelCEOPatGelsinger在去年年底接受采访时表示,自家的18A制程(1.8nm)比领先台积电N2,在这块他们2年内没有对手。英特尔高级副总裁SanjayNatarajan更是透露,该公司将通过20A工艺“引领小型化”,该工艺将于今年投入生产。

相关报道表示,该节点有望彻底改变IFS的产品组合和半导体行业。20A节点预计将采用全新的RibbonFET晶体管,取代现有的FinFET架构。与此同时,该节点还将提供新的互连创新,即PowerVia。


在五月的财报电话会议上PatGelsinger重申,公司的第一代全栅极(GAA)RibbonFET工艺,即intel20A,有望在今年推出。后续产品是intel18A,他说,英特尔18A将于2025年上半年投入生产,产品也将在不久后上市。

作为英特尔五年四代工艺的最后一个节点,英特尔18A工艺是该公司的分水岭,人们对其寄予厚望。英特尔表示,这将使其多年来首次在性能上超越竞争对手,标志着英特尔重返半导体工程的顶峰。

具体到技术方面,据IEEE报道说,英特尔今年推出的20A工艺(先于18A工艺)为芯片制造业带来了主要特色之一,那就是背面供电。英特尔也将成为第一家在商用芯片中引入背面供电的芯片制造商。根据英特尔去年夏天发布的数据显示,仅背面供电一项就将性能提升了6%。

英特尔18A工艺技术的背面供电网络技术与英特尔20A芯片中的技术基本相同。然而,该技术在ClearwaterForest中得到了更大的利用。即将推出的CPU在基片内包含所谓的“片上电压调节器”(on-dievoltageregulator)。电压调节器靠近其驱动的逻辑意味着逻辑可以运行得更快。距离越短,调节器就能更快地响应电流需求的变化,同时消耗更少的电量。

由于逻辑芯片采用背面供电,电压调节器和逻辑芯片之间的连接电阻要低得多。同时,电源通孔技术以及Foveros堆叠为英特尔提供了一种非常有效的连接方式。

除了背面电源之外,这家芯片制造商还利用英特尔20A工艺转向了另一种晶体管架构:RibbonFET。RibbonFET是一种纳米片或全栅晶体管,它取代了FinFET(自2011年以来一直是CMOS的主力晶体管)。借助英特尔18A,ClearwaterForest的逻辑芯片将采用第二代RibbonFET工艺制造。据英特尔介绍,虽然这些器件本身与英特尔20A中推出的器件没有太大区别,但器件的设计灵活性更高。

这种灵活性意味着标准单元(设计人员可用来构建系统的基本逻辑块)可以包含具有不同属性的晶体管。这使得英特尔能够开发一个“增强库”,其中包含比英特尔20A工艺更小、性能更好或更高效的标准单元。

据悉,英特尔的客户已表示支持英特尔的系统代工服务,微软董事长兼首席执行官萨蒂亚·纳德拉在IntelFoundryDirectConnect大会上宣布,微软计划采用英特尔18A工艺制造由英特尔设计的芯片。

在英特尔的规划中,还有一个与其他两个竞争对手不同的点,那就是他们率先采用ASML最新的HighNAEUV光刻机。英特尔代也表示,公司已收到并组装了业界首台高数值孔径(HighNA)极紫外(EUV)光刻系统。新工具能够大幅提高下一代处理器的分辨率和功能扩展能力,使英特尔代工厂能够在英特尔18A之后继续保持工艺领先地位。

英特尔认为,高数值孔径EUV是ASML与英特尔数十年合作后开发的下一代光刻系统。作为高数值孔径EUV的先行者,英特尔代工厂将能够在芯片制造中提供前所未有的精度和可扩展性。这反过来将使英特尔能够开发具有最具创新特性和能力的芯片。

据透露,此台由ASML供应的TWINSCANEXE:5000High-NAEUV微影设备,将开始进行多项校准步骤,预计于2027年启用、率先用于Intel14A制程。

1nm,提前开打

如文章开头所说,除了上述三家晶圆厂以外,日本Rapidus也正在成为2nm工艺的新兴力量。今年年初他们表示,公司位于北海道千岁市的2nm芯片工厂兴建工程顺利,试产产线将按计划在2025年4月启用,且有信心能一步一步实现2027年量产的目标。

他们同时透露,公司有意研发1nm工艺。这个未来的工艺当然也成为了三大晶圆厂的新目标。

据BusinessKorea报道,三星将在六月将公布其最新的技术路线图,据报道,其1nm量产计划从2027年提前到2026年。据称,三星的SF1.4工艺将与台积电的1.4nm工艺竞争,原本计划于2027年开始量产。现在,该公司似乎做出了更新,将计划提前了。

至于台积电方面,则计划在2027年达到A14节点,并在2030年达到A10节点,即1nm制程芯片。届时,采用台积电3D封装技术的芯片晶体管数量将超过1万亿个,而采用传统封装技术的芯片晶体管数量将超过2000亿个。


根据Intel最新的路线图,Intel14A提前至2026年,并于2027年增加新制程,即1纳米(Intel10A)。不过,英特尔没透露10A节点任何细节,但至少有双位数的功耗与性能改进。英特尔CEOPatGelsinger曾表示,新制程改善临界值约14%~15%,因此10A与14A可能也会有这样改进。

另据英特尔蓝图,Intel14A也于2027年最佳化,故10A似乎介于14A和14A-E之间。


但是,据Volksstimme报道,英特尔位于德国马格德堡附近的Fab29module1和module2的建设因欧盟补贴审批待定以及需要移除黑土以在其他地点重新使用而被推迟,新的时间表将开工时间推迟到2025年5月。如果英特尔能够快速完成建设和工具安装,该工厂仍可能在2027年底至2028年初按时投产。但这对公司来说仍可能是一个问题。

最初,建设计划于2023年上半年开始,但由于补贴延迟,建设被推迟到2024年夏天。现在又进一步推迟了。

按照规划,英特尔的Fab29.1和Fab29.2计划于2027年底开始运营,这意味着它们将采用非常特殊的制造工艺,例如英特尔的14A(1.4纳米)和10A(1纳米)工艺节点。这些制造技术旨在用于制造英特尔路线图中非常特殊的产品。

Fab29.1和Fab29.2旨在生产计划于2028年下半年推出的客户端PC产品,所以尽管英特尔有时间提高产能,即使该工厂在2028年中期准备就绪,但时间安排将会非常紧张。然而,该报告描绘了一幅更加可怕的图景,因为它称英特尔现在估计“建造这两家工厂需要四到五年时间”,“因此将于2029年至2030年开始生产”

这也许会给英特尔的崛起带来新的障碍。但考虑到他们在美国大本营和爱尔兰以及以色列的多地布局,也许状况并没有想象中那么差。

责任编辑:站长云网